JAIST Repository >
c. マテリアルサイエンス研究科・マテリアルサイエンス系 >
c10. 学術雑誌論文等 >
c10-1. 雑誌掲載論文 >

このアイテムの引用には次の識別子を使用してください: http://hdl.handle.net/10119/4535

タイトル: Ultrathin silicon nitride gate dielectrics prepared by catalytic chemical vapor deposition at low temperatures
著者: Sato, Hidekazu
Izumi, Akira
Matsumura, Hideki
発行日: 2000-10-23
出版者: American Institute of Physics
誌名: Applied Physics Letters
巻: 77
号: 17
開始ページ: 2752
終了ページ: 2754
DOI: 10.1063/1.1319513
抄録: The feasibility of using ultrathin silicon nitride (SiN_x) films, prepared by catalytic chemical vapor deposition (Cat-CVD) method, as an ultrathin gate dielectric is reported. The effects of postdeposition treatments carried out using hydrogen (H_2)-decomposed species or NH3-decomposed species formed by catalytic cracking of H_2 and NH_3 are also studied. A small hysteresis loop is seen in the C-V curve of as-deposited Cat-CVD SiN_x films. The leakage current in the case of these films with equivalent oxide thickness (EOT) of 3 nm is slightly larger than that in the conventional thermal SiO_2 films of similar EOT. However, it is found that the properties of Cat-CVD SiN_x films are markedly improved by the postdeposition H_2 or NH_3 treatments, that is, the hysteresis loop disappears and the leakage current decreases by three orders of magnitude.
Rights: Copyright 2000 American Institute of Physics. This article may be downloaded for personal use only. Any other use requires prior permission of the author and the American Institute of Physics. The following article appeared in Hidekazu Sato, Akira Izumi and Hideki Matsumura, Applied Physics Letters, 77(17), 2752-2754 (2000) and may be found at http://link.aip.org/link/?APPLAB/77/2752/1
URI: http://hdl.handle.net/10119/4535
資料タイプ: publisher
出現コレクション:c10-1. 雑誌掲載論文 (Journal Articles)

このアイテムのファイル:

ファイル 記述 サイズ形式
876.pdf283KbAdobe PDF見る/開く

当システムに保管されているアイテムはすべて著作権により保護されています。

 


お問い合わせ先 : 北陸先端科学技術大学院大学 研究推進課図書館情報係